FVBE - Getting startedwritten by Roberto Asquini and Sergio TanzilliA step by step guide to learn how to write VHDL applications on Actel FPGA and how to integrate them with the Linux embedded FOX Board system |
This is not a tutorial about VHDL or FPGA but by reading these pages it is possible to learn something about both of them.
The Actel Libero IDE Gold edition is a software suite that can compile (synthesize) your VHDL source and test (simulate) the functionality of your new hardware function.
FPGA is the short form of Field Programmable Gate Array (see the wikipedia definition) and is the chip that contains the programmable logic components and programmable interconnects used to implement physically your VHDL project.
On the FOX VHDL Board we use an Actel FPGA that has a flash memory to store our chip design that can be programmed several times directly from the FOX Board without any additional programmer using a web interface or a simple command line tool. |
The logical schematic of a NOT port is shown below:
A is an input line and B is an output line. When we put on A a logic low (0 volt) B goes up to logic high (3.3 volt), when A is high B goes down.
This is the VHDL decription of a NOT port is:
library ieee; use ieee.std_logic_1164.all; entity VHDLNot is port( A : in std_logic; B : out std_logic ); end VHDLNot; architecture Dataflow of VHDLNot is begin B <= not A; end Dataflow;
Follow these steps to implement your NOT chip on the FPGA:
library ieee; use ieee.std_logic_1164.all; entity VHDLNot is port( A : in std_logic; B : out std_logic ); end VHDLNot; architecture Dataflow of VHDLNot is begin B <= not A; end Dataflow;
http://192.168.0.90:8080
Now we are ready to test our NOT port. As shown in the figure below we can use a normal switch to set the input port (line A) and a LED to see the output state on line B.
Schematic of the hardware test bench